TbAxi4_SubordinateRandomTiming1 Test Case Report


TbAxi4_SubordinateRandomTiming1 Alert Report

TbAxi4_SubordinateRandomTiming1 Alert Settings
Setting Value Description
FailOnWarning true If true, warnings are a test error
FailOnDisabledErrors true If true, Disabled Alert Counts are a test error
FailOnRequirementErrors true If true, Requirements Errors are a test error
External Failures 0 Added to Alert Counts in determine total errors
Errors 0
Warnings 0
Expected Failures 0 Subtracted from Alert Counts in determine total errors
Errors 0
Warnings 0
TbAxi4_SubordinateRandomTiming1 Alert Results
Name Status Checks Requirements Alert Counts Disabled Alert Counts
Total Passed Failed Goal Passed Failures Errors Warnings Failures Errors Warnings
TbAxi4_SubordinateRandomTiming1 PASSED 768 768 0 0 0 0 0 0 0 0 0
Default PASSED 384 384 0 0 0 0 0 0 0 0 0
OSVVM PASSED 0 0 0 0 0 0 0 0 0 0 0
subordinate_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
manager_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 128 128 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteResponse Scoreboard PASSED 128 128 0 0 0 0 0 0 0 0 0
ReadResponse Scoreboard PASSED 128 128 0 0 0 0 0 0 0 0 0

TbAxi4_SubordinateRandomTiming1 Coverage Report

Total Coverage: 100.00

WriteAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1780638781,  1284630316
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 43 1 4300.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2072006919,  359507871
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 43 1 4300.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1210226302,  1715992792
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 86 1 8600.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds276880993,  492374260
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 22 1 2200.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1798108825,  1316270049
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 22 1 2200.0
Total Percent Coverage:   100.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1132275268,  1747695844
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 107 1 10700.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1157222341,  2137987343
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 23 1 2300.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1774513708,  1565671199
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 23 1 2300.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1521444320,  1618564945
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 105 1 10500.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds341347771,  916637069
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 45 1 4500.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1157257181,  106345226
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 45 1 4500.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds176887009,  1625770513
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 84 1 8400.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1227410821,  1030023635
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 21 1 2100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1603737730,  733523584
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 21 1 2100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds422304604,  1892012361
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 107 1 10700.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1511131805
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1843851328
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  867366020
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1992752071,  1456192725
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  2055473551
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1136972191,  1113371690
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1935614252,  1231610140
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1519532790
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1682240380,  1538165535
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1383831417
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1712199934,  1717908421
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  2144458818
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1362522746,  1446320732
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  551584956
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2118121841,  781495195
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0

TbAxi4_SubordinateRandomTiming1 Scoreboard Report for Scoreboard_slv

Name ParentName ItemCount ErrorCount ItemsChecked ItemsPopped ItemsDropped FifoCount
WriteAddressFIFO subordinate_1 128 0 0 128 0 0
WriteDataFifo subordinate_1 128 0 0 128 0 0
WriteTransactionFifo subordinate_1 0 0 0 0 0 0
WriteResponseFifo subordinate_1 128 0 0 128 0 0
ReadAddressFifo subordinate_1 128 0 0 128 0 0
ReadAddressTransactionFifo subordinate_1 128 0 0 128 0 0
ReadDataFifo subordinate_1 128 0 0 128 0 0
WriteResponse Scoreboard manager_1 128 0 128 128 0 0
ReadResponse Scoreboard manager_1 128 0 128 128 0 0
WriteAddressFIFO manager_1 128 0 0 128 0 0
WriteDataFifo manager_1 128 0 0 128 0 0
ReadAddressFifo manager_1 128 0 0 128 0 0
ReadAddressTransactionFifo manager_1 128 0 0 128 0 0
ReadDataFifo manager_1 128 0 0 128 0 0