TbAxi4_DemoMemoryReadWrite1 Test Case Report


TbAxi4_DemoMemoryReadWrite1 Alert Report

TbAxi4_DemoMemoryReadWrite1 Alert Settings
Setting Value Description
FailOnWarning true If true, warnings are a test error
FailOnDisabledErrors true If true, Disabled Alert Counts are a test error
FailOnRequirementErrors true If true, Requirements Errors are a test error
External Failures 0 Added to Alert Counts in determine total errors
Errors 0
Warnings 0
Expected Failures 0 Subtracted from Alert Counts in determine total errors
Errors 0
Warnings 0
TbAxi4_DemoMemoryReadWrite1 Alert Results
Name Status Checks Requirements Alert Counts Disabled Alert Counts
Total Passed Failed Goal Passed Failures Errors Warnings Failures Errors Warnings
TbAxi4_DemoMemoryReadWrite1 PASSED 334 334 0 0 0 0 0 0 0 0 0
Default PASSED 20 20 0 0 0 0 0 0 0 0 0
OSVVM PASSED 0 0 0 0 0 0 0 0 0 0 0
Cov1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Cov2 PASSED 0 0 0 0 0 0 0 0 0 0 0
Cov1b PASSED 0 0 0 0 0 0 0 0 0 0 0
Cov2b PASSED 0 0 0 0 0 0 0 0 0 0 0
memory_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
memory_1:memory PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
manager_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 16 16 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteResponse Scoreboard PASSED 40 40 0 0 0 0 0 0 0 0 0
ReadResponse Scoreboard PASSED 150 150 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 108 108 0 0 0 0 0 0 0 0 0

TbAxi4_DemoMemoryReadWrite1 Coverage Report

Total Coverage: 43.75

WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds725712538,  1004918336
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1827999928,  1437906479
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds734265393,  1700735930
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2029461548,  1283764278
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1748909411,  1855022683
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds96983514,  129940445
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds725712538,  1828020551
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds168568802,  1013319321
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds734265393,  1132220686
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds348462515,  977886568
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1827999928,  403794932
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds999833807,  1972030371
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2029461548,  1245869864
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds900544662,  1715637110
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds96983514,  1534593060
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1511131805
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1843851328
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  867366020
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1992752071,  1456192725
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  2055473551
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1136972191,  1113371690
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1935614252,  1231610140
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1519532790
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1682240380,  1538165535
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1383831417
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1712199934,  1717908421
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  2144458818
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1362522746,  1446320732
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  551584956
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2118121841,  781495195
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
Cov1 Coverage Model     Coverage: 37.5
Cov1 Coverage Settings
Settings Value
CovWeight1
Goal100.0
WeightModeREMAIN
Seeds1610375381,  1671002156
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount12
TotalCovGoal32
Cov1 Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
COUNT 1 1 1 100.0
COUNT 2 1 1 100.0
COUNT 3 0 1 0.0
COUNT 4 0 1 0.0
COUNT 5 1 1 100.0
COUNT 6 0 1 0.0
COUNT 7 1 1 100.0
COUNT 32 1 1 100.0
COUNT 33 1 1 100.0
COUNT 34 1 1 100.0
COUNT 35 0 1 0.0
COUNT 36 1 1 100.0
COUNT 37 0 1 0.0
COUNT 38 1 1 100.0
COUNT 39 0 1 0.0
COUNT 64 0 1 0.0
COUNT 65 1 1 100.0
COUNT 66 0 1 0.0
COUNT 67 0 1 0.0
COUNT 68 0 1 0.0
COUNT 69 0 1 0.0
COUNT 70 1 1 100.0
COUNT 71 0 1 0.0
COUNT 96 0 1 0.0
COUNT 97 0 1 0.0
COUNT 98 0 1 0.0
COUNT 99 1 1 100.0
COUNT 100 0 1 0.0
COUNT 101 0 1 0.0
COUNT 102 0 1 0.0
COUNT 103 0 1 0.0
Total Percent Coverage:   37.5
Cov2 Coverage Model     Coverage: 37.5
Cov2 Coverage Settings
Settings Value
CovWeight1
Goal100.0
WeightModeREMAIN
Seeds1610375381,  1671002156
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount12
TotalCovGoal32
Cov2 Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
COUNT 1 1 1 100.0
COUNT 2 1 1 100.0
COUNT 3 0 1 0.0
COUNT 4 0 1 0.0
COUNT 5 1 1 100.0
COUNT 6 0 1 0.0
COUNT 7 1 1 100.0
COUNT 32 1 1 100.0
COUNT 33 1 1 100.0
COUNT 34 1 1 100.0
COUNT 35 0 1 0.0
COUNT 36 1 1 100.0
COUNT 37 0 1 0.0
COUNT 38 1 1 100.0
COUNT 39 0 1 0.0
COUNT 64 0 1 0.0
COUNT 65 1 1 100.0
COUNT 66 0 1 0.0
COUNT 67 0 1 0.0
COUNT 68 0 1 0.0
COUNT 69 0 1 0.0
COUNT 70 1 1 100.0
COUNT 71 0 1 0.0
COUNT 96 0 1 0.0
COUNT 97 0 1 0.0
COUNT 98 0 1 0.0
COUNT 99 1 1 100.0
COUNT 100 0 1 0.0
COUNT 101 0 1 0.0
COUNT 102 0 1 0.0
COUNT 103 0 1 0.0
Total Percent Coverage:   37.5
Cov1b Coverage Model     Coverage: 50.0
Cov1b Coverage Settings
Settings Value
CovWeight1
Goal100.0
WeightModeREMAIN
Seeds237317768,  1136814108
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount16
TotalCovGoal32
Cov1b Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 45824 1 1 100.0
COUNT 45825 1 1 100.0
COUNT 45826 1 1 100.0
COUNT 45827 0 1 0.0
COUNT 45828 0 1 0.0
COUNT 45829 1 1 100.0
COUNT 45830 0 1 0.0
COUNT 45831 1 1 100.0
COUNT 45840 1 1 100.0
COUNT 45841 1 1 100.0
COUNT 45842 1 1 100.0
COUNT 45843 0 1 0.0
COUNT 45844 1 1 100.0
COUNT 45845 0 1 0.0
COUNT 45846 1 1 100.0
COUNT 45847 1 1 100.0
COUNT 45856 0 1 0.0
COUNT 45857 1 1 100.0
COUNT 45858 0 1 0.0
COUNT 45859 0 1 0.0
COUNT 45860 0 1 0.0
COUNT 45861 0 1 0.0
COUNT 45862 1 1 100.0
COUNT 45863 0 1 0.0
COUNT 45872 0 1 0.0
COUNT 45873 1 1 100.0
COUNT 45874 1 1 100.0
COUNT 45875 1 1 100.0
COUNT 45876 0 1 0.0
COUNT 45877 0 1 0.0
COUNT 45878 0 1 0.0
COUNT 45879 0 1 0.0
Total Percent Coverage:   50.0
Cov2b Coverage Model     Coverage: 50.0
Cov2b Coverage Settings
Settings Value
CovWeight1
Goal100.0
WeightModeREMAIN
Seeds237317768,  1136814108
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount16
TotalCovGoal32
Cov2b Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 45824 1 1 100.0
COUNT 45825 1 1 100.0
COUNT 45826 1 1 100.0
COUNT 45827 0 1 0.0
COUNT 45828 0 1 0.0
COUNT 45829 1 1 100.0
COUNT 45830 0 1 0.0
COUNT 45831 1 1 100.0
COUNT 45840 1 1 100.0
COUNT 45841 1 1 100.0
COUNT 45842 1 1 100.0
COUNT 45843 0 1 0.0
COUNT 45844 1 1 100.0
COUNT 45845 0 1 0.0
COUNT 45846 1 1 100.0
COUNT 45847 1 1 100.0
COUNT 45856 0 1 0.0
COUNT 45857 1 1 100.0
COUNT 45858 0 1 0.0
COUNT 45859 0 1 0.0
COUNT 45860 0 1 0.0
COUNT 45861 0 1 0.0
COUNT 45862 1 1 100.0
COUNT 45863 0 1 0.0
COUNT 45872 0 1 0.0
COUNT 45873 1 1 100.0
COUNT 45874 1 1 100.0
COUNT 45875 1 1 100.0
COUNT 45876 0 1 0.0
COUNT 45877 0 1 0.0
COUNT 45878 0 1 0.0
COUNT 45879 0 1 0.0
Total Percent Coverage:   50.0

TbAxi4_DemoMemoryReadWrite1 Scoreboard Report for Scoreboard_slv

Name ParentName ItemCount ErrorCount ItemsChecked ItemsPopped ItemsDropped FifoCount
WriteAddressFIFO memory_1 40 0 0 40 0 0
WriteDataFifo memory_1 150 0 0 150 0 0
WriteResponseFifo memory_1 40 0 0 40 0 0
ReadAddressFifo memory_1 40 0 0 40 0 0
ReadDataFifo memory_1 150 0 0 150 0 0
WriteResponse Scoreboard manager_1 40 0 40 40 0 0
ReadResponse Scoreboard manager_1 150 0 150 150 0 0
WriteAddressFIFO manager_1 40 0 0 40 0 0
WriteDataFifo manager_1 150 0 0 150 0 0
ReadAddressFifo manager_1 40 0 0 40 0 0
ReadAddressTransactionFifo manager_1 40 0 0 40 0 0
ReadDataFifo manager_1 150 0 0 150 0 0
WriteBurstFifo memory_1 0 0 0 0 0 0
ReadBurstFifo memory_1 0 0 0 0 0 0
WriteBurstFifo manager_1 118 0 0 118 0 0
ReadBurstFifo manager_1 118 0 108 118 0 0