TbAxi4_AxiXResp2_Enum Test Case Report


TbAxi4_AxiXResp2_Enum Alert Report

TbAxi4_AxiXResp2_Enum Alert Settings
Setting Value Description
FailOnWarning true If true, warnings are a test error
FailOnDisabledErrors true If true, Disabled Alert Counts are a test error
FailOnRequirementErrors true If true, Requirements Errors are a test error
External Failures 0 Added to Alert Counts in determine total errors
Errors 0
Warnings 0
Expected Failures 0 Subtracted from Alert Counts in determine total errors
Errors 0
Warnings 0
TbAxi4_AxiXResp2_Enum Alert Results
Name Status Checks Requirements Alert Counts Disabled Alert Counts
Total Passed Failed Goal Passed Failures Errors Warnings Failures Errors Warnings
TbAxi4_AxiXResp2_Enum PASSED 36 36 0 0 0 0 0 0 0 0 0
Default PASSED 24 24 0 0 0 0 0 0 0 0 0
OSVVM PASSED 0 0 0 0 0 0 0 0 0 0 0
subordinate_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
manager_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteResponse Scoreboard PASSED 6 6 0 0 0 0 0 0 0 0 0
ReadResponse Scoreboard PASSED 6 6 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0

TbAxi4_AxiXResp2_Enum Coverage Report

Total Coverage: 100.00

WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds201959419,  353797856
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds779970012,  1610791482
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1367125401,  794307227
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds332594846,  475700727
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1603832055,  1829461270
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1603111803,  325466259
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds216688206,  1846275625
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds779970012,  367264995
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2123892427,  1305105689
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds201959419,  1150771571
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1391413899,  1644849628
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1367125401,  1163966820
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1832458720,  1220308451
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1603832055,  1911162924
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1024899620,  41944993
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1511131805
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1843851328
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  867366020
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1992752071,  1456192725
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  2055473551
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1136972191,  1113371690
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1935614252,  1231610140
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1519532790
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1682240380,  1538165535
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1296437502,  1383831417
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1712199934,  1717908421
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds963124330,  2144458818
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1362522746,  1446320732
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1940533339,  551584956
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2118121841,  781495195
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0

TbAxi4_AxiXResp2_Enum Scoreboard Report for Scoreboard_slv

Name ParentName ItemCount ErrorCount ItemsChecked ItemsPopped ItemsDropped FifoCount
WriteAddressFIFO subordinate_1 6 0 0 6 0 0
WriteDataFifo subordinate_1 6 0 0 6 0 0
WriteTransactionFifo subordinate_1 0 0 0 0 0 0
WriteResponseFifo subordinate_1 6 0 0 6 0 0
ReadAddressFifo subordinate_1 6 0 0 6 0 0
ReadAddressTransactionFifo subordinate_1 6 0 0 6 0 0
ReadDataFifo subordinate_1 6 0 0 6 0 0
WriteResponse Scoreboard manager_1 6 0 6 6 0 0
ReadResponse Scoreboard manager_1 6 0 6 6 0 0
WriteAddressFIFO manager_1 6 0 0 6 0 0
WriteDataFifo manager_1 6 0 0 6 0 0
ReadAddressFifo manager_1 6 0 0 6 0 0
ReadAddressTransactionFifo manager_1 6 0 0 6 0 0
ReadDataFifo manager_1 6 0 0 6 0 0
WriteBurstFifo manager_1 0 0 0 0 0 0
ReadBurstFifo manager_1 0 0 0 0 0 0