TbAxi4_ManagerRandomTiming1 Test Case Report


TbAxi4_ManagerRandomTiming1 Alert Report

TbAxi4_ManagerRandomTiming1 Alert Settings
Setting Value Description
FailOnWarning true If true, warnings are a test error
FailOnDisabledErrors true If true, Disabled Alert Counts are a test error
FailOnRequirementErrors true If true, Requirements Errors are a test error
External Failures 0 Added to Alert Counts in determine total errors
Errors 0
Warnings 0
Expected Failures 0 Subtracted from Alert Counts in determine total errors
Errors 0
Warnings 0
TbAxi4_ManagerRandomTiming1 Alert Results
Name Status Checks Requirements Alert Counts Disabled Alert Counts
Total Passed Failed Goal Passed Failures Errors Warnings Failures Errors Warnings
TbAxi4_ManagerRandomTiming1 PASSED 512 512 0 0 0 0 0 0 0 0 0
Default PASSED 0 0 0 0 0 0 0 0 0 0 0
OSVVM PASSED 0 0 0 0 0 0 0 0 0 0 0
manager_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 32 32 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteResponse Scoreboard PASSED 64 64 0 0 0 0 0 0 0 0 0
ReadResponse Scoreboard PASSED 224 224 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 192 192 0 0 0 0 0 0 0 0 0
memory_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
memory_1:memory PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0

TbAxi4_ManagerRandomTiming1 Coverage Report

Total Coverage: 100.00

WriteAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1116211783,  346156584
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 10 1 1000.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds247092808,  678586193
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 15 1 1500.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1596920273,  1400583220
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 49 1 4900.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1341962471,  1827810809
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 36 1 3600.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1719955758,  1291400474
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 36 1 3600.0
Total Percent Coverage:   100.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1059044545,  1388322718
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 188 1 18800.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1935614252,  1231610140
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1519532790
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1682240380,  1538165535
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1116211783,  1318527028
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 11 1 1100.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1121918263,  1928508134
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 15 1 1500.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1596920273,  1195528402
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 49 1 4900.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds228483913,  1703326148
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 51 1 5100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds50874985,  2086323388
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 51 1 5100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2094026368,  925181522
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 237 1 23700.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds725712538,  1004918336
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1827999928,  1437906479
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds734265393,  1700735930
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2029461548,  1283764278
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1748909411,  1855022683
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds96983514,  129940445
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds725712538,  1828020551
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds168568802,  1013319321
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds734265393,  1132220686
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds348462515,  977886568
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1827999928,  403794932
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds999833807,  1972030371
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2029461548,  1245869864
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds900544662,  1715637110
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 0.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds96983514,  1534593060
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 0 1 0.0
Total Percent Coverage:   0.0

TbAxi4_ManagerRandomTiming1 Scoreboard Report for Scoreboard_slv

Name ParentName ItemCount ErrorCount ItemsChecked ItemsPopped ItemsDropped FifoCount
WriteResponse Scoreboard manager_1 64 0 64 64 0 0
ReadResponse Scoreboard manager_1 224 0 224 224 0 0
WriteAddressFIFO manager_1 64 0 0 64 0 0
WriteDataFifo manager_1 224 0 0 224 0 0
ReadAddressFifo manager_1 64 0 0 64 0 0
ReadAddressTransactionFifo manager_1 64 0 0 64 0 0
ReadDataFifo manager_1 224 0 0 224 0 0
WriteAddressFIFO memory_1 64 0 0 64 0 0
WriteDataFifo memory_1 224 0 0 224 0 0
WriteResponseFifo memory_1 64 0 0 64 0 0
ReadAddressFifo memory_1 64 0 0 64 0 0
ReadDataFifo memory_1 224 0 0 224 0 0
WriteBurstFifo manager_1 192 0 0 192 0 0
ReadBurstFifo manager_1 192 0 192 192 0 0
WriteBurstFifo memory_1 0 0 0 0 0 0
ReadBurstFifo memory_1 0 0 0 0 0 0