TbAxi4_ManagerMemoryRandomTiming1 Test Case Report


TbAxi4_ManagerMemoryRandomTiming1 Alert Report

TbAxi4_ManagerMemoryRandomTiming1 Alert Settings
Setting Value Description
FailOnWarning true If true, warnings are a test error
FailOnDisabledErrors true If true, Disabled Alert Counts are a test error
FailOnRequirementErrors true If true, Requirements Errors are a test error
External Failures 0 Added to Alert Counts in determine total errors
Errors 0
Warnings 0
Expected Failures 0 Subtracted from Alert Counts in determine total errors
Errors 0
Warnings 0
TbAxi4_ManagerMemoryRandomTiming1 Alert Results
Name Status Checks Requirements Alert Counts Disabled Alert Counts
Total Passed Failed Goal Passed Failures Errors Warnings Failures Errors Warnings
TbAxi4_ManagerMemoryRandomTiming1 PASSED 512 512 0 0 0 0 0 0 0 0 0
Default PASSED 0 0 0 0 0 0 0 0 0 0 0
OSVVM PASSED 0 0 0 0 0 0 0 0 0 0 0
manager_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
Protocol Error PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 32 32 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteResponse Scoreboard PASSED 64 64 0 0 0 0 0 0 0 0 0
ReadResponse Scoreboard PASSED 224 224 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 192 192 0 0 0 0 0 0 0 0 0
memory_1 PASSED 0 0 0 0 0 0 0 0 0 0 0
memory_1:memory PASSED 0 0 0 0 0 0 0 0 0 0 0
No response PASSED 0 0 0 0 0 0 0 0 0 0 0
Data Check PASSED 0 0 0 0 0 0 0 0 0 0 0
WriteBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0
ReadBurstFifo PASSED 0 0 0 0 0 0 0 0 0 0 0

TbAxi4_ManagerMemoryRandomTiming1 Coverage Report

Total Coverage: 100.00

WriteAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1116211783,  346156584
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 10 1 1000.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds247092808,  678586193
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 15 1 1500.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1596920273,  1400583220
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 49 1 4900.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1341962471,  1827810809
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 36 1 3600.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1719955758,  1291400474
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 36 1 3600.0
Total Percent Coverage:   100.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1059044545,  1388322718
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 188 1 18800.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1935614252,  1231610140
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds628491352,  1519532790
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 0 1 0.0
Total Percent Coverage:   0.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 0.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1682240380,  1538165535
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount0
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 0 1 0.0
Total Percent Coverage:   0.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1116211783,  1318527028
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 11 1 1100.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1121918263,  1928508134
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 15 1 1500.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1596920273,  1195528402
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 49 1 4900.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds228483913,  1703326148
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 51 1 5100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds50874985,  2086323388
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 51 1 5100.0
Total Percent Coverage:   100.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2094026368,  925181522
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 237 1 23700.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1607684860,  33489695
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 10 1 1000.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1855096194,  468922083
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 15 1 1500.0
Total Percent Coverage:   100.0
WriteAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1589547630,  664733047
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 50 1 5000.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1339474198,  1688156822
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 38 1 3800.0
Total Percent Coverage:   100.0
WriteDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds112439568,  182463231
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 38 1 3800.0
Total Percent Coverage:   100.0
WriteDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1190998996,  723963251
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 187 1 18700.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstLength Coverage Model     Coverage: 100.0
WriteResponseDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds2043551004,  437439456
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 12 1 1200.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BurstDelay Coverage Model     Coverage: 100.0
WriteResponseDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1332874173,  506146694
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 12 1 1200.0
Total Percent Coverage:   100.0
WriteResponseDelayCov BeatDelay Coverage Model     Coverage: 100.0
WriteResponseDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds933100473,  1230937646
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
WriteResponseDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 52 1 5200.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds650608528,  1341792218
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 4 11 1 1100.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1890670604,  161807130
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BurstDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 to 1 2 to 5 17 1 1700.0
Total Percent Coverage:   100.0
ReadAddressDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadAddressDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds416084794,  590587395
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadAddressDelayCov BeatDelay Coverage Bins
Name Type Bin1 Bin2 Count AtLeast Percent
Coverage
COUNT 0 0 48 1 4800.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstLength Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstLength Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds878549290,  2111344336
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstLength Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 10 37 1 3700.0
Total Percent Coverage:   100.0
ReadDataDelayCov BurstDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BurstDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds1037732183,  1217231886
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BurstDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 2 to 5 37 1 3700.0
Total Percent Coverage:   100.0
ReadDataDelayCov BeatDelay Coverage Model     Coverage: 100.0
ReadDataDelayCov BeatDelay Coverage Settings
Settings Value
CovWeight0
Goal100.0
WeightModeREMAIN
Seeds829267714,  544130942
CountModeCOUNT_FIRST
IllegalModeILLEGAL_ON
Threshold45.0
ThresholdEnableFALSE
TotalCovCount1
TotalCovGoal1
ReadDataDelayCov BeatDelay Coverage Bins
Name Type Bin1 Count AtLeast Percent
Coverage
COUNT 0 187 1 18700.0
Total Percent Coverage:   100.0

TbAxi4_ManagerMemoryRandomTiming1 Scoreboard Report for Scoreboard_slv

Name ParentName ItemCount ErrorCount ItemsChecked ItemsPopped ItemsDropped FifoCount
WriteResponse Scoreboard manager_1 64 0 64 64 0 0
ReadResponse Scoreboard manager_1 224 0 224 224 0 0
WriteAddressFIFO manager_1 64 0 0 64 0 0
WriteDataFifo manager_1 224 0 0 224 0 0
ReadAddressFifo manager_1 64 0 0 64 0 0
ReadAddressTransactionFifo manager_1 64 0 0 64 0 0
ReadDataFifo manager_1 224 0 0 224 0 0
WriteAddressFIFO memory_1 64 0 0 64 0 0
WriteDataFifo memory_1 224 0 0 224 0 0
WriteResponseFifo memory_1 64 0 0 64 0 0
ReadAddressFifo memory_1 64 0 0 64 0 0
ReadDataFifo memory_1 224 0 0 224 0 0
WriteBurstFifo manager_1 192 0 0 192 0 0
ReadBurstFifo manager_1 192 0 192 192 0 0
WriteBurstFifo memory_1 0 0 0 0 0 0
ReadBurstFifo memory_1 0 0 0 0 0 0